CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VGA A

搜索资源列表

  1. VGA-13h-SDK

    0下载:
  2. 这是一个支持VGA320*200*256C的小开发包,里含头文件vmode.h--用于调用此模式(0x13)的支持 vdraw.h--用于基本绘图操作的支持 chput.h--用于汉字及E文在图形方式下的显示支持 window.h--用于创建基本窗口控件的支持.Deam.c--演示程序的代码 hzk16--16*16的点阵汉字字库.-This is a support VGA320 * 200 * Male small development kits, said to contain the
  3. 所属分类:2D图形编程

    • 发布日期:2008-10-13
    • 文件大小:9901
    • 提供者:董凯
  1. vga.niosII.compent.v

    0下载:
  2. 在cyloneIIFPGA平台下设计完成测试通过的VGA控制器代码。显存留在系统的SDRAM中,用FIFO作为缓冲。-in cyloneIIFPGA platform design is completed tests through the VGA controller code. RAM in the system SDRAM, and use as a FIFO buffer.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6599
    • 提供者:Ray ZH
  1. VGA.rar

    1下载:
  2. VGA彩色信号控制器设计:用VHDL语言编写程序,重点完成三个功能: 1.棋盘格图案显示: 用三基色原理在CRT显示器上显示由横竖八彩条重叠构成的棋盘格图案; 2.在显示器上依次显示0~9十个数字: 每个数字不同颜色,每个显示大约0.4秒,循环显示; 3.显示动画效果: 将静态图像以高频率显示,造成动画效果,最终动态显示OVER结束。,VGA color signal controller design: using VHDL programming language, focusi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:185932
    • 提供者:刘峰
  1. VGA_Pattern

    0下载:
  2. FPGA用于控制VGA数模转换芯片ADV7123的Verilog控制代码;实现了VGA的显示时序,输出包括vga_hs,vga_vs,vga_clk,vga_blank,vga_sync,vga_R,vga_G,vga_B-The verilog code for control ADV7123 with FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:114893
    • 提供者:GC
  1. vga

    0下载:
  2. 详细解说了VGA控制器的 设计,有示例程序。-A detailed explanation of the VGA controller design, there are examples of procedures.
  3. 所属分类:Graph program

    • 发布日期:2017-04-04
    • 文件大小:247212
    • 提供者:李群
  1. VGA

    0下载:
  2. VHDL Code For display a picture on Monitor
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-07
    • 文件大小:76295
    • 提供者:starplus
  1. vga-2tv-pcbvg

    0下载:
  2. 电脑VGA视频转电视视频信号,可以在电视上看电影-a sign convert to tv sign
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:216225
    • 提供者:xx
  1. VGA

    0下载:
  2. 一个VGA显示的简单例子,学习如何做VGA显示-VGA shows a simple example, learning how to do VGA display
  3. 所属分类:Graph program

    • 发布日期:2017-04-10
    • 文件大小:1162613
    • 提供者:chengliu
  1. vga

    0下载:
  2. 这是一个能把在字模提取的代码直接转换成mif文件的程序,非常方便-This is a can extract the matrix of the code directly into the process mif file, very convenient
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-07
    • 文件大小:834
    • 提供者:hanbin
  1. verilog-VGA

    0下载:
  2. 在FPGA内,实现简单的VGA显示功能。verilog源代码-In the FPGA, the realization of a simple VGA display. verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1737
    • 提供者:niuqs
  1. vga

    0下载:
  2. a code to display in VGA using VHDL lang
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1124
    • 提供者:yousif
  1. PS2-VGA

    0下载:
  2. VHDL- introduce keywords from keyboard and displaying on a VGA display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:675025
    • 提供者:Alex
  1. vga

    0下载:
  2. 实现VGA视频效果,可以把屏幕分为中间一个正方形和其余颜色不同,并且在正方形中有字幕A,并且利用按键可以移动此A-Achieve VGA video effects, can be divided into the middle of the screen a different color square and the rest, and the subtitles in the square in A, and use the A button can be moved
  3. 所属分类:Picture Viewer

    • 发布日期:2017-04-02
    • 文件大小:1508
    • 提供者:李龙
  1. vga

    0下载:
  2. verilog通过VGA显示一个汉字,800X600@72Hz-verilog character through a VGA display, 800X600 @ 72Hz
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:7333
    • 提供者:刘欣
  1. VGA

    0下载:
  2. 用fpga驱动vga,共两个实验,代码齐全功能完整,用quartus以工程形式打开-Fpga driver vga, a total of two experiments, the code is fully functional and complete quartus open form of engineering
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-29
    • 文件大小:830425
    • 提供者:
  1. Verilog code about a VGA based ball and gun game

    0下载:
  2. This code can be performed directly on the SPARTAN-3A FPGA board as long as a VGA port is connected to this board. After initialization, a ball and gun will appear on the screen and you can control them and playing the game by using the button from t
  3. 所属分类:VHDL编程

    • 发布日期:2015-02-21
    • 文件大小:25860
    • 提供者:wpw1994
  1. VGA

    0下载:
  2. 基于FPGA的VGA实验测试及各种代码,希望能对大家有帮助!(FPGA based VGA experimental testing and a variety of code, in the hope that we can help!)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-25
    • 文件大小:6855680
    • 提供者:乌有先生
  1. vga

    0下载:
  2. 简单VGA显示彩条,有简单的横条和棋盘格,适用于新手(A simple VGA display of color, a simple bar and a chessboard, suitable for beginners)
  3. 所属分类:图片显示

    • 发布日期:2018-01-06
    • 文件大小:1024
    • 提供者:毅321
  1. vga

    1下载:
  2. 该文件夹是一个关于vga接口的FPGA源码工程(This folder is a FPGA source project on the VGA interface)
  3. 所属分类:其他

    • 发布日期:2018-01-07
    • 文件大小:129024
    • 提供者:一飞桑
  1. VGA图像无损压缩

    0下载:
  2. 针对vga接口输出的雷达图像的无损压缩编码算法。(A lossless compression coding algorithm for radar images.)
  3. 所属分类:其他

    • 发布日期:2018-04-29
    • 文件大小:1024
    • 提供者:圆豌豆
« 12 3 4 5 6 7 8 9 10 ... 25 »
搜珍网 www.dssz.com